【芯视野】英特尔all in先进制程:续命摩尔定律 在座皆是弟弟

来源:爱集微 #芯视野# #先进制程# #摩尔定律#
2.6w

在地缘政治、大国博弈之下,制造业已成为半导体竞争的“终极之战”。而要构筑制造业的“堡垒”,先进制程必然是不容失守的“高地”。

继前几个月英特尔发布了其新的制程规划路径之后,又在最近举办的2021 IEEE国际电子器件会议(IEDM)上发布了多篇在封装、晶体管和量子物理学方面的关键技术突破,这些突破不仅表明了英特尔为继续推动摩尔定律演进的信心,更折射出意在先进制程领域绝地反攻的的决心。

然而,这不仅是财力和技术实力的大比拼,还是对选择路线的大考验。

三大“路线”全面押注

之所以英特尔全面“押注”先进制程,英特尔制造、供应链和营运集团副总裁、战略规划部联席总经理卢东晖博士认为,这背后凸显了摩尔定律的魅力:随着节点的进步,可为芯片带来更高的组件密度,实现更快速的运算、更高的能效以及更高的动态范围,以满足众多高性能和多样化的市场需求。对于半导体业而言,摩尔定律更是一个经济定律,提供了可预测性,而制程的不断进阶将引导行业持续向前。

虽然方向确定,但道路的选择却要慎之又慎,因为这背后全是真金白银的巨额投入,稍有不慎有可能就“竹篮打水一场空”。

卢东晖对此深有感触,“一方面,先进制造晶圆工厂的建设周期非常长,从宣布建厂到投入量产至少需要两年。另一方面,根据工厂的规模估算,每个工厂投入的金额至少是100-150亿美元。并且,投资100-150亿美元仅是起始投资,运营成本每年就需为10-30亿美元。采用先进制造的芯片,需要在工厂经历5个多月、2000多道工序才能如愿“面世”。”

当制程的进度盘拔向了3nm甚至2nm,对采用新技术、新材料、新思路的要求与以往已非同一量级。英特尔三路并进,以至关重要的微缩技术、为硅注入新功能和物理学的新概念为三大方向。

在这三大方向中,也有不同的细分路线。卢东晖介绍,在微缩技术中,一是通过先进封装HBI,以达到超过10倍互联密度提升;二是3D CMOS是一大重要方向。从二维的CMOS演变成FinFET,再到GAA RibbonFET技术,英特尔将在2nm制程采用GAA RibbonFET,助力实现30%至50%的逻辑微缩提升。三是采用单原子层的2D材料来改进晶体管。为克服传统硅通道限制,英特尔探索用仅有数个原子厚度的新型材料制造晶体管,从而实现在每颗芯片上增加数百万晶体管数量,实现更强大的计算。

特别是2D材料方面,英特尔采用非常小的二硫化物原子层作为Gate通道,因为硅的问题是无法继续缩小,进一步缩小会产生量子效应。不止如此,最大的突破还在于试用了大量金属材料,花费巨资和巨量的时间研究,最终在源极和漏极研发了不同的金属,NMOS用的是锑,PMOS用的是钌,借此可将GAA栅极缩小3倍,从15nm变成5nm,这是非常了不起的突破。

在为硅注入新功能中,可以看出英特尔在力行IDM2.0战略。卢东晖指出,英特尔已看到用硅基CMOS开发功率器件是不太合适的,尤其是应用到汽车或者高压领域的功率器件,为此英特尔选择一是在传统的晶圆上首次集成GaN基,这是突破性的创新。二是为应对更高的存储量和更快的处理能力需求,英特尔采用新的内存——铁电存储器,实现了2纳秒的读写速度和超过1012次方的读写周期。

以上的创新可谓延续性和突破性并存。而在物理学新概念中,英特尔首先是磁电自旋轨道(MESO)逻辑器件,其次是磁畴壁位移来实现逻辑和内存功能的自旋扭矩器件,最后是研发首次与300毫米CMOS制造兼容的量子比特制造工艺流程。“这是十分重要的,表明之后的量子制造工艺成熟之后可直接在现有的晶圆厂改造,而不需要另建一个几十亿、上百亿美元的新工厂,这对量子计算技术的微缩和产业化具有革命性的意义。”卢东晖强调。

重重“挑战”如何克服?

可以看出,英特尔在延伸摩尔定律的路线是“火力全开”,而之所以要分头并进亦是必然之举。

卢东晖形象地比喻说,作为先进工艺领先者,要保持持续领先就要自己探路,各种可行的路都要探索。如同要爬一座山,知道山顶就在那儿,但是不知道路有多难、要带多少干粮、要有什么给养、要多长时间,而且不能只走一条路,走到一半前面是悬崖走不下去怎么办,那就只能重头来过。

诚然,先进制程的进阶之路充满艰辛曲折,而各条路线的挑战亦如影随形。

如在核心的微缩技术中,先进封装必须打“头阵”。它不仅可确保芯片设计不再局限于某一制程,还可提供更多的定制化,满足未来多样化产品需求。据Yole数据显示,全球先进封测行业的市场规模从2020年的260亿美元将增至2025年的380亿美元,年均复合增速达到8%。

而英特尔在今年7月宣布计划推出Foveros Direct,实现了向直接铜对铜键合的转变,通过HBI(Hybrid Bonding)技术以实现10微米以下的凸点间距,让不同芯片之间可实现10倍以上的互联密度提升。这就使得晶圆制造与先进封装之间的界限不再那么泾渭分明,但对先进封装工厂要求也大幅提升。

卢东晖提及,先进封装绝对是将来的主流技术之一,而传统封装尺寸较大,所需的洁净度是1万级,但是HBI新技术或需要100级。1万级就意味着每立方米大于0.5微米的颗粒数要低于1万,而100级的话就是要低于100,相差100倍。因而原有封装厂的洁净室环境是无法实现这一要求的,未来先进封装厂的升级方向会逐渐向晶圆厂的要求靠近,甚至与晶圆厂的要求一致。

此外,设备的配套与优化也是必然的。“10倍以上的互联密度提升意味着每平方米毫米将达到10000个连接,这是非常敏感的,需要表面非常平坦,因而化学机械抛光和沉积的优化是非常关键的,以保持介电层的平面性。”卢东晖指出。

更为关键的是,先进封装技术的优化亦需要行业统一标准,否则不同客户或要求Hybrid Bonding间距或为55nm,或为65nm,将不利于发展。卢东晖强调,为使生态系统能从先进封装中获益,不断降低成本,英特尔呼吁建立新的行业标准和测试程序,涉及设计、封装等系统工程,让混合键合芯粒生态系统成为可能。

而在英特尔押注的3D CMOS方面,涉及如何将NMOS和PMOS互叠,这样面积可缩小一倍,而互叠有两种做法,一种叫依序,另一种是自对准。卢东晖解释说,前者成本较高,而后者的制造工序更为复杂,最终落地到产品需要选一个方面,或两者结合皆有可能。而如果是自对准,也有两种方法,一种是用光刻机对准;另一种是自我对准,如用刻蚀或沉积手段实现对准。未来究竟如何走,还要看配套产业链的成熟度。 

业界知名专家莫大康分析称,目前来看半导体业进步理论上已无太大的突破,关键在于实践,一是EUV设备的应用与经验,在这方面台积电相对占优;二是异质集成的关健也需要设备厂的支持及自行经验积累,综合来看英特尔尚需时间。

在这么多路线中,到底哪条路线会率先产业化?这既左右英特尔的发展路线,也为半导体业发展提供了可贵的镜鉴。

提及产业化,卢东晖指出,HBI在产业已有应用,预计在2023年Foveros Direct技术会实现量产;采用RibbonFET技术的英特尔20A制程(2nm),预计将于2024年推出。在铁电存储器层面,因完全与传统的CMOS工艺结合,英特尔的优势在于有自己的X86架构,而任何内存必须要与CPU一起共同优化,进展将十分迅速。相对来说,物理学新概念中的量子计算等或需要更长的时间。

来势汹汹“决战”2024

可以看出,英特尔的来势“凶猛”。

为了赶超台积电,英特尔新CEO基辛格上任以后就推出了重返芯片代工的IDM 2.0计划,在产能方面卯足全力,不仅斥资200亿美元在美国建设两座新的晶圆厂,另还将在未来十年内投资1000亿美元在欧洲建立生产基地,此外还宣布在马来西亚投资71亿美元扩建封测厂。业界预估,此番大举扩张,预计在5年内即2026年时产能将增长30%以上,有望追赶台积电。

而除了产能提升之外,英特尔在工艺层面则更加“突飞猛进,在其规划的新制程路线图中,要在2nm量产之际超越台积电。沿着英特尔的制程路线图来看,Intel 7相当于10nm,Intel 4相当于7nm,Intel 3相当于3nm,随后芯片制程将进入全新时代Intel 20A,在2024年推出采用RibbonFET的2nm制程。

而“巧合”的是,根据台积电的先进制程路线图,台积电也规划于2024年量产基于GAA的2nm制程。

如此2024年已俨然成为双方在先进制程巅峰对决的“临界点”。根据英特尔定下的目标,到RibbonFET这一阶段也即2024年,要重新夺回制程技术的领先地位。

英特尔能否如愿“反攻”?有业内人士分析对比路线说,英特尔在2021年已经量产Intel 7,相当于台积电的7nm,而台积电于2018年量产,大致落后三年。2022年Intel 4将要量产,相当于台积电的4nm,而台积电于2020年量产,或落后两年。到2023年英特尔规划量产Intel 3,相当于台积电的3nm,而台积电是在2022年量产,此时英特尔大概落后一年。而到了2nm阶段,英特尔计划追上台积电。

“这也意味着一年更新一代工艺,这是非常有难度的,台积电一般是两年提升一代工艺,英特尔在良率方面仍有改进的空间,或仍有可能延误。”上述人士表示。

集微咨询高级分析师陈翔也分析说,英特尔在现阶段还未突破5nm节点,而台积电已突破5nm,并在2023将量产3nm,相比之下,台积电看起来在2nm节点的量产上更具优势,但如果英特尔加大投入力度,实现其在2024年量产2nm的计划,将会在时间上反超台积电。而在2nm节点上,代工厂商将采用的工艺相似但不尽相同,这一节点也必将成为各大厂商竞争的新拐点。

全面“对抗”谁将胜出?

尽管英特尔新任CEO前不久还亲自拜访台积电,寻求在3nm代工层面的合作,但英特尔在先进制程的各大路线的全面“押注”,也让未来双方的全面“对抗”避无可避。

以赛亚调研(Isaiah Research)认为,英特尔未来重点发展在先进制程的微缩技术、先进封装、GaN等几大面向,这是必然之举。

“半导体未来走向会是先进制程微缩搭配先进封装,当制程微缩持续推进、未来摩尔定律走向尽头时,就必须通过先进封装延伸摩尔定律,以在经济成本考虑下,提升芯片效能、良率。同时,先进制程价格昂贵,对想踏入先进制程的客户有一定的成本压力,但先进封装的性价比相对高,也可帮助客户产品有一定程度的效能提升。这是英特尔如未来要发展晶圆代工必须要有所作为的。”以赛亚调研分析说。

有评论说,在先进封装层面英特尔做得非常出色,与台积电似乎是不相上下。陈翔则认为,未来的态势还要看各家在先进封装上的投入情况,就目前的进度来看,英特尔还需要一定的时间。

此外,以赛亚调研还建议,在先进制程持续发展下,客户成本越来越高,晶圆代工厂的策略是在现有的平台资源下针对不同的应用做拆解。以台积电为例,N3平台有N3E、N3B,分别为HPC、智能手机等不同应用生产,满足客户的需求又同时具有性价比,这点亦是晶圆代工厂的重要思维。

在英特尔的进阶路线中,要注意的是在前景广阔的第三代半导体代工层面,英特尔在300毫米的硅晶圆上首次集成氮化镓基(GaN-based)功率器件,并验证了300毫米工艺兼容可行性,提升了大规模制造可能性。而氮化镓器件主要可分为硅基、碳化硅基、蓝宝石基等几种晶圆,台积电则在硅基氮化镓代工发力,并于2017年走向量产之路。尽管英特尔失了先手,重走IDM2.0模式路线的英特尔能否借氮化镓基与台积电在第三代半导体代工领域一较长短?

 “至于GaN基本上比较偏第三代半导体,还在初始阶段,是否有办法做到先进制程与先进封装技术整合还在观察。”以赛亚调研谨慎判断。

上述业内人士最后认为,台积电在成本的控制上可能最大的竞争对手是三星,但在技术上最大的竞争对手还是英特尔,在先进制程这一条道路上,台积电必须兢兢业业,没有放松的本钱,只要稍微放松,马上就会被竞争对手追上。而台积电唯一的路就是要在先进制程和先进封装层面同样领先。(校对/李延)

责编: 张轶群
来源:爱集微 #芯视野# #先进制程# #摩尔定律#
THE END

*此内容为集微网原创,著作权归集微网所有,爱集微,爱原创

关闭
加载

PDF 加载中...